設(shè)置
  • 日夜間
    隨系統(tǒng)
    淺色
    深色
  • 主題色

角逐先進(jìn)封裝:半導(dǎo)體廠商的“諸神之戰(zhàn)”

2022/2/7 9:36:23 來(lái)源:愛(ài)集微 作者:Aaron 責(zé)編:遠(yuǎn)洋

【編者按】后摩爾時(shí)代,隨著 5G、AI、物聯(lián)網(wǎng)、大數(shù)據(jù)及智能制造等技術(shù)不斷突破創(chuàng)新,業(yè)內(nèi)對(duì)于體積更輕薄、數(shù)據(jù)傳輸速率更快、功率損耗更小及成本更低的芯片需求大幅提高。而由于單純依靠精進(jìn)制程來(lái)提升芯片性能的方法已無(wú)法滿足時(shí)代需求,先進(jìn)封裝技術(shù)正被視為推動(dòng)產(chǎn)業(yè)發(fā)展的重要杠桿。于是,各半導(dǎo)體巨頭正拿出“殺手锏”,在先進(jìn)封裝領(lǐng)域掀起一場(chǎng)前所未有的“諸神之戰(zhàn)”。

2011 年秋,張忠謀毫無(wú)預(yù)兆的擲出一個(gè)震撼彈 —— 臺(tái)積電要進(jìn)軍封裝領(lǐng)域。為此,他請(qǐng)回已從臺(tái)積電退休的蔣尚義重新掌舵研發(fā),而具體任務(wù)落在了余振華肩上。代工龍頭進(jìn)軍下游,市場(chǎng)頓時(shí)對(duì)封測(cè)廠的前景打上問(wèn)號(hào)。風(fēng)口浪尖之時(shí),余振華在公開(kāi)場(chǎng)合舌戰(zhàn)群儒,大力推銷臺(tái)積電的先進(jìn)封裝技術(shù)。但封測(cè)界累積的不滿,終于在一場(chǎng)技術(shù)研討會(huì)爆發(fā)。

在余振華演講結(jié)束后,一位矽品研發(fā)主管發(fā)難,“你的意思是說(shuō)我們以后都沒(méi)飯吃了?”隨著行業(yè)氣憤逐漸達(dá)到冰點(diǎn),余振華在張忠謀“點(diǎn)撥”后突然在公開(kāi)場(chǎng)合銷聲匿跡,埋頭攻堅(jiān)研發(fā)。歷經(jīng)兩三年時(shí)間,余振華不負(fù)眾望,帶領(lǐng)數(shù)百人的團(tuán)隊(duì)開(kāi)發(fā)出了 CoWoS 技術(shù)??芍钡介_(kāi)始量產(chǎn),真正下單的主要客戶只有賽靈思一家,其余客戶都覺(jué)得價(jià)格太貴。

此后,即便輩分極高,“蔣爸”也面臨巨大壓力:某人夸下??谝舜罅抠Y源,但做了個(gè)沒(méi)什么用的東西。而余振華則陷入人生低潮,不僅工作變化大,連家庭也出現(xiàn)狀況。然而,轉(zhuǎn)折機(jī)會(huì)很快來(lái)臨。某天,在與一位大客戶的硏發(fā)副總共進(jìn)晚餐時(shí),對(duì)方告訴蔣尚義,這類技術(shù)的價(jià)格不能超過(guò)每平方毫米 1 美分才能被接受,但 CoWoS 的價(jià)格卻超過(guò) 5 倍。

▲ 余振華

或是一語(yǔ)點(diǎn)醒夢(mèng)中人,臺(tái)積電隨后決定開(kāi)發(fā)新技術(shù)?!拔揖陀昧_沖沖,”余振華決定改用減法,將 CoWoS 結(jié)構(gòu)盡量簡(jiǎn)化。不久后的一天,蔣尚義激動(dòng)地沖進(jìn)張忠謀辦公室,說(shuō)余振華挖到一個(gè)大金礦,開(kāi)發(fā)出了 InFO 技術(shù)。由于 InFO 具備減少芯片厚度、提高能效、高性價(jià)比等特性,自 2016 年起,臺(tái)積電借此一舉擊敗三星,連拿三代蘋果手機(jī)訂單。

在技術(shù)路線上,InFO 與 CoWoS 都屬于晶圓級(jí)封裝技術(shù),即直接在硅晶圓上完成封裝。而為了解決層出不窮的技術(shù)難題,臺(tái)積電也曾付出昂貴學(xué)費(fèi),5 年間產(chǎn)線燒壞幾千片昂貴的晶圓。但這些學(xué)費(fèi)也物有所值。在 InFO 技術(shù)開(kāi)花結(jié)果不久后,CoWoS 技術(shù)開(kāi)始被英偉達(dá) GP100、谷歌 AlphaGo 和日本“富岳”超算等采用,進(jìn)而拉開(kāi)了世界人工智能熱潮序幕。

可以說(shuō),臺(tái)積電推出 InFO 與 CoWoS 是產(chǎn)業(yè)發(fā)展的標(biāo)志性事件之一,拉開(kāi)了全球持續(xù)至今的行業(yè)競(jìng)賽。過(guò)去幾年,當(dāng)業(yè)界矚目各巨頭競(jìng)爭(zhēng)時(shí)通常聚焦在先進(jìn)制程和 EUV 等技術(shù),但不起眼的封測(cè)環(huán)節(jié)儼然成為臺(tái)積電甩開(kāi)三星、英特爾的重要砝碼。如今,隨著先進(jìn)封裝的地位與日俱增,各半導(dǎo)體巨頭正拿出“殺手锏”,掀起一場(chǎng)前所未有的“諸神之戰(zhàn)”。

01 臺(tái)積電:整合創(chuàng)新

在官網(wǎng)關(guān)于 3D 先進(jìn)封裝的介紹中,臺(tái)積電稱,計(jì)算工作的負(fù)載在過(guò)去十年中的發(fā)展可能比前四個(gè)十年都要大。目前,云計(jì)算、大數(shù)據(jù)分析、人工智能、神經(jīng)網(wǎng)絡(luò)訓(xùn)練、人工智能推理、先進(jìn)智能手機(jī)上的移動(dòng)計(jì)算甚至自動(dòng)駕駛汽車,都在推動(dòng)計(jì)算向極限發(fā)展。面對(duì)更多樣化的計(jì)算應(yīng)用需求,先進(jìn)封裝技術(shù)成為持續(xù)優(yōu)化芯片性能和成本的關(guān)鍵創(chuàng)新路徑。

基于此,伴隨著網(wǎng)絡(luò)流量的爆炸性增長(zhǎng),數(shù)據(jù)中心開(kāi)始向硅光子領(lǐng)域發(fā)展。于是,2021 年 9 月,臺(tái)積電針對(duì)數(shù)據(jù)中心市場(chǎng)推出了其新型先進(jìn)封裝技術(shù) ——COUPE(緊湊型通用光子引擎)異構(gòu)集成技術(shù),將光學(xué)引擎與多種計(jì)算和控制 ASIC 集成在同一封裝載板或中間器件上。這一技術(shù)能夠使組件之間的距離更近,提高帶寬和功率效率,并減少電耦合損耗等。

與此同時(shí),基于封裝技術(shù)的演進(jìn),臺(tái)積電也在不斷迭代原來(lái)的拳頭技術(shù)產(chǎn)品。2021 年 8 月,臺(tái)積電宣布第五代 CoWoS 先進(jìn)技術(shù)應(yīng)用并量產(chǎn),可在基板封裝 8 片 HBM2e 高速暫存存儲(chǔ)器,總?cè)萘靠蛇_(dá) 128GB。臺(tái)積電表示,第五代 CoWoS 先進(jìn)封裝技術(shù)晶體管數(shù)量是第三代 20 倍,同時(shí)增加 3 倍中介層面積,而且使用全新 TSV 解決方案以及更厚的銅連接線。

近十年來(lái),臺(tái)積電已經(jīng)推出五代不同的基板上芯片封裝工藝,涵蓋了消費(fèi)級(jí)與服務(wù)器芯片領(lǐng)域,產(chǎn)品多達(dá)數(shù)十款。而為了滿足當(dāng)前系統(tǒng)效能、縮小面積以及整合不同功能的需求,臺(tái)積電已將先進(jìn)封裝相關(guān)技術(shù)整合為 3DFabric 平臺(tái),可讓客戶自由選配。其中,前段技術(shù)包含整合芯片系統(tǒng) SoIC,后段組裝測(cè)試相關(guān)技術(shù)包含整合型扇出 InFO 及 CoWoS 系列產(chǎn)品。

隨著先進(jìn)封裝技術(shù)及產(chǎn)業(yè)方興未艾,各大半導(dǎo)體廠商迭代技術(shù)方案同時(shí)也在不斷擴(kuò)大相關(guān)產(chǎn)能。目前,臺(tái)積電有五座先進(jìn)封裝工廠,包含新竹 1 廠、臺(tái)南 2B 與 2C 廠、龍?zhí)?3 廠與臺(tái)中 5 廠。而建設(shè)中的竹南 AP6 廠采全自動(dòng)化設(shè)計(jì),專攻 SoIC 相關(guān)設(shè)計(jì)生產(chǎn)。2021 年,竹南 AP6 廠 SoIC 部分目標(biāo)設(shè)備移入,InFO 相關(guān)部分目標(biāo) 2022 年到位,整體將 2022 年底量產(chǎn)。

誠(chéng)然,鑒于在硅中介層、晶圓加工技術(shù)以及成本等方面的優(yōu)勢(shì),臺(tái)積電將能從高精度路徑繼續(xù)保持市場(chǎng)領(lǐng)先。而無(wú)論前段或后段產(chǎn)業(yè)都在致力推動(dòng)半導(dǎo)體發(fā)展,使得系統(tǒng)微縮追求更高系統(tǒng)效能、更低耗能及更小體積上的精進(jìn)。目前,臺(tái)積電的 3D Fabric 平臺(tái)已率先進(jìn)入新階段,從異質(zhì)整合、系統(tǒng)整合到現(xiàn)在的系統(tǒng)微縮均具備一定優(yōu)勢(shì),但挑戰(zhàn)同樣不容小覷。

02 日月光:亦步亦趨

近年來(lái),自臺(tái)積電涉足先進(jìn)封裝領(lǐng)域后,對(duì)其他封測(cè)廠的“威脅論”就不曾間斷。其實(shí),臺(tái)積電在先進(jìn)封裝的策略與傳統(tǒng)封測(cè)廠有所差異,即主要是綁定先進(jìn)制程為金字塔頂端客戶定制優(yōu)化的產(chǎn)品,對(duì)應(yīng)產(chǎn)品類別多為 HPC 及高端智能手機(jī)。而封測(cè)廠在先進(jìn)封裝的策略大不相同,即在于多樣化的封裝技術(shù)和龐大產(chǎn)能,可為客戶提供一站式且平價(jià)的解決方案。

雖然長(zhǎng)期穩(wěn)坐傳統(tǒng)封裝市場(chǎng)龍頭地位,但隨著使用不同封裝技術(shù)進(jìn)行異質(zhì)芯片整合成為新時(shí)代的發(fā)展趨勢(shì),日月光也在不斷加碼晶圓級(jí) FOWLP 技術(shù),以提上在先進(jìn)封裝領(lǐng)域的實(shí)力。目前,日月光針對(duì) SIP 封裝有兩個(gè)明顯趨勢(shì):一是從單面變成雙面,雖然厚度增加但隨著技術(shù)演進(jìn)會(huì)縮小。二是增加不少異形鍵,從而不用依賴基板以及使線寬間距做得更優(yōu)化。

其實(shí),自 2014 年起,日月光就曾跟隨臺(tái)積電腳步投入 FOWLP 封裝技術(shù)研發(fā)。最初,日月光采用的是面板級(jí) (Panel Level) 扇出型技術(shù),但兩年后轉(zhuǎn)向晶圓級(jí) (Wafer Level) 技術(shù)發(fā)展,并完成研發(fā)并導(dǎo)入試產(chǎn)。緊接著,日月光建設(shè)了 2 萬(wàn)片月產(chǎn)能的 FOWLP 封裝生產(chǎn)線,并成功拿下高通及海思大單,成為繼臺(tái)積電之后、全球第二家可以量產(chǎn) FOWLP 封裝的半導(dǎo)體代工廠。

在經(jīng)歷四年多“纏斗”后,日月光于 2020 年 3 月完成對(duì)矽品收購(gòu),進(jìn)一步鞏固了行業(yè)龍頭地位及封裝技術(shù)實(shí)力。但為了應(yīng)對(duì)行業(yè)激烈競(jìng)爭(zhēng),尤其在臺(tái)積電宣布投資 716 億再建一座先進(jìn)封裝廠刺激下,日月光繼續(xù)發(fā)力先進(jìn)封裝:宣布投資逾 60 億元在高雄建立先進(jìn)封裝廠,以擴(kuò)大相關(guān)產(chǎn)能規(guī)模。這座工廠也是日月光“5 年 6 廠”的階段性成果,預(yù)計(jì) 2023 年完工。

▲ 日月光高雄工廠

整體上,經(jīng)過(guò)多年布建耕耘,日月光在先進(jìn)封裝領(lǐng)域已具備不俗實(shí)力,可以提供 SiP、2.5D & 3D IC 封裝及扇出型封裝(Fan Out)等高端技術(shù),并且在價(jià)格、產(chǎn)能、良率及“一站式封裝”等方面具備不俗優(yōu)勢(shì)。此外,日月光在覆晶封裝、焊線封裝、面板級(jí)封裝等方面取得多項(xiàng)研發(fā)成果同時(shí),還在 5G、感應(yīng)器、車用電子及智能裝置方面不斷加大投入力度。

但加大布局投入勢(shì)必需要資本支撐,于是日月光做出“艱難”的決定。2021 年 12 月 1 日,日月光宣布將位于大陸的四家封測(cè)工廠以 14.6 億美元打包出售。至于其中原因,日月光稱,此次出售四個(gè)工廠,是合并矽品之后首次提出整合集團(tuán)封測(cè)資源,優(yōu)化大陸市場(chǎng)的戰(zhàn)略布局及資源的有效運(yùn)用,同時(shí)獲利將強(qiáng)化公司在臺(tái)灣先進(jìn)封裝技術(shù)研發(fā)及產(chǎn)能建置。

03 三星:重振旗鼓

無(wú)論在晶圓代工還是先進(jìn)封裝市場(chǎng),三星一直沒(méi)有放緩追趕臺(tái)積電的腳步。比如在即將量產(chǎn)的 3nm 工藝制程及 3D 先進(jìn)封裝技術(shù)領(lǐng)域,三星和臺(tái)積電競(jìng)爭(zhēng)越來(lái)越激烈,甚至進(jìn)入全面“戰(zhàn)爭(zhēng)”狀態(tài)。目前,三星與臺(tái)積電在工藝制程方面差距不算很大,但在封裝領(lǐng)域仍處于一定劣勢(shì)。不過(guò),基于在 FOPLP 和 FOWLP 技術(shù)上全力追趕,三星或?qū)⒛芸s小差距。

此前,三星因具備全球唯一的內(nèi)存、處理器和封裝廠“一體化生產(chǎn)線”優(yōu)勢(shì)曾長(zhǎng)期獨(dú)享蘋果手機(jī)訂單,但卻不曾想主因先進(jìn)封裝劣勢(shì)丟了這一肥單。三星也曾成立特別工作小組開(kāi)發(fā) FOPLP 技術(shù),但這項(xiàng)技術(shù)僅在 Galaxy Watch 的芯片封裝中商用,并無(wú)多大建樹(shù)。而隨著臺(tái)積電通吃蘋果新品的可能性愈來(lái)愈高,三星毅然加碼發(fā)力先進(jìn)封裝,并送出“三連擊”。

2019 年 10 月,三星宣布率先在業(yè)內(nèi)開(kāi)發(fā)出 12 層 3D-TSV(硅穿孔)封裝技術(shù),在保持芯片尺寸的同時(shí)增加了內(nèi)存容量,并將量產(chǎn) 24GB 的高帶寬內(nèi)存 (HBM)。三星方面稱,該技術(shù)垂直堆疊了 12 個(gè) DRAM 芯片,通過(guò) 60000 個(gè) TSV 孔互連,每一層的厚度僅有頭發(fā)絲的 1/20。由此,三星不忘豪言稱,這是目前世界上最精確和最具挑戰(zhàn)性的半導(dǎo)體封裝技術(shù)。

▲ 三星 X-Cube 測(cè)試芯片架構(gòu)

基于不俗的研發(fā)實(shí)力,2020 年 8 月,三星又宣布推出 3D 先進(jìn)封裝技術(shù)“X-Cube”。不同于以往的多個(gè)芯片平行封裝,這一技術(shù)基于 TSV 硅穿孔技術(shù),可以將包括 SRAM 在內(nèi)的不同芯片垂直堆疊,從而釋放空間堆疊更多內(nèi)存芯片。三星方面稱,X-Cube 技術(shù)已經(jīng)可以用于 7nm 及 5nm 工藝,同時(shí)還將滿足 5G、AI、AR、VR、HPC 和移動(dòng)芯片等領(lǐng)域的性能要求。

此外,到了 2021 年 5 月,三星宣布其下一代 2.5D 封裝技術(shù)“I-Cube4”即將上市。據(jù)介紹,該技術(shù)集成 1 顆邏輯芯片和 4 顆高帶寬內(nèi)存(HBM),將大幅提升邏輯器件和內(nèi)存之間的通信效率。與此同時(shí),該技術(shù)還在保持性能前提下將中介層做得比紙還薄,厚度僅有 100μm。但也有專家指出,I-Cube4 技術(shù)存在寄生參數(shù)缺陷及過(guò)薄等問(wèn)題,或?qū)⒂绊懏a(chǎn)品性能。

目前,隨著 AI、HPC 和網(wǎng)絡(luò)應(yīng)用細(xì)分市場(chǎng)對(duì)規(guī)格的要求不斷增加,以及安裝在一個(gè)封裝中的芯片數(shù)量和尺寸增加或需要高帶寬通信,大面積封裝變得越來(lái)越重要,但其需采用的細(xì)間距基板將不可避免導(dǎo)致成本上升。對(duì)此,三星通過(guò)應(yīng)用混合基板結(jié)構(gòu)解決了其中的難點(diǎn)痛點(diǎn)。這將有利于三星提升先進(jìn)封裝的競(jìng)爭(zhēng)力,以及在與臺(tái)積電的競(jìng)爭(zhēng)中爭(zhēng)奪主動(dòng)權(quán)。

04 英特爾:多維建構(gòu)

與臺(tái)積電、三星兩大 IDM 對(duì)手一樣,英特爾曾一直試圖推動(dòng)先進(jìn)制程精進(jìn)同時(shí)發(fā)力先進(jìn)封裝技術(shù),以及通過(guò)晶體管、封裝和芯片設(shè)計(jì)協(xié)同優(yōu)化進(jìn)步繼續(xù)推動(dòng)摩爾定律演進(jìn)。但英特爾的希望似乎均落空,不僅在先進(jìn)制程技術(shù)卡殼導(dǎo)致 14nm 后面的“+”號(hào)不斷加長(zhǎng),而且在先進(jìn)封裝領(lǐng)域被臺(tái)積電趕超后遲遲沒(méi)有亮眼動(dòng)作。但“沉寂”數(shù)年后,英特爾開(kāi)始迸發(fā)。

2021 年 3 月,英特爾發(fā)布 IDM2.0 戰(zhàn)略,將未來(lái)制造模式變革為:“自有工廠 + 第三方產(chǎn)能 + 代工服務(wù)”組合。其中的戰(zhàn)略包括,投資 200 億美元在美國(guó)建兩座晶圓工廠;全面對(duì)外提供代工服務(wù);擴(kuò)大外包訂單量;與 IBM 聯(lián)合研發(fā)下一代邏輯芯片的先進(jìn)封裝技術(shù)。隨后,英特爾又相繼宣布各投資 25 億美元、70 億美元在美國(guó)、馬來(lái)西亞擴(kuò)大先進(jìn)封裝產(chǎn)能。

▲ 2021 年 3 月 24 日,英特爾 CEO 帕特?基辛格發(fā)布英特爾 IDM2.0 戰(zhàn)略

此前,鑒于先進(jìn)封裝的地位與日俱增,英特爾已在 2.5D 封裝領(lǐng)域布局,并于 2017 年推出 EMIB(嵌入式多芯片互連橋接)技術(shù)。這一技術(shù)可以將不同類型、不同工藝的芯片 IP 組合在一起,類似一個(gè)松散的 SoC。不過(guò),EMIB 沒(méi)有引入額外的硅中介層,只在兩枚裸片邊緣連接處加入了一條硅橋接層,并重新定制化裸片邊緣的 I / O 引腳以配合橋接標(biāo)準(zhǔn)。

繼推出突破性的 EMIB 封裝技術(shù)之后,英特爾很快實(shí)現(xiàn)另一個(gè)飛躍,即 2018 年 12 月推出名為“Foveros”的全新 3D 封裝技術(shù)。據(jù)英特爾介紹,F(xiàn)overos 技術(shù)是英特爾首次引入 3D 堆疊的優(yōu)勢(shì),不僅可以實(shí)現(xiàn)在邏輯芯片上堆疊邏輯芯片,還可以將不同工藝、結(jié)構(gòu)、用途的芯片進(jìn)行異構(gòu)集成,從而為整合高性能、高密度和低功耗工藝技術(shù)的系統(tǒng)鋪平了道路。

于是,自 2019 年下半年開(kāi)始,英特爾開(kāi)始推出一系列采用 Foveros 封裝技術(shù)的產(chǎn)品。其中,首款 Foveros 產(chǎn)品整合高性能 10nm 計(jì)算堆疊“芯片組合”和低功耗 22FFL 基礎(chǔ)晶片,可以在小巧的產(chǎn)品形態(tài)中實(shí)現(xiàn)世界一流的性能與功耗效率。緊接著,英特爾在先進(jìn)封裝的動(dòng)作已停不下來(lái),不斷公布新的技術(shù)突破和相關(guān)產(chǎn)品,進(jìn)而構(gòu)建起多維的先進(jìn)封裝布局。

其中值得注意的是,在 2020 年架構(gòu)日上,英特爾推出了混合鍵合 (Hybrid bonding) 技術(shù),且相關(guān)測(cè)試芯片已在當(dāng)年第二季度流片。據(jù)介紹,這一技術(shù)能夠加速實(shí)現(xiàn) 10 微米及以下的凸點(diǎn)間距,較 Fovreros 的 25—50 微米凸點(diǎn)間距明顯提升,具備更高的互連密度、帶寬和更低的功率。顯然,英特爾在商業(yè)模式方面正在向臺(tái)積電靠攏,并將成為其強(qiáng)力競(jìng)爭(zhēng)對(duì)手。

05 長(zhǎng)電科技:提速破局

受益于半導(dǎo)體產(chǎn)品市場(chǎng)的蓬勃發(fā)展,長(zhǎng)電科技近年來(lái)在全球半導(dǎo)體封測(cè)行業(yè)保持領(lǐng)先地位?;谠谙冗M(jìn)封裝上的提速破局,長(zhǎng)電科技的業(yè)務(wù)當(dāng)前主要以先進(jìn)封裝為主,占封裝總業(yè)務(wù)的九成以上。而隨著 5G 時(shí)代的到來(lái)以及產(chǎn)業(yè)需求發(fā)生新的變化,長(zhǎng)電科技正聚焦加大對(duì) 5G、AI、移動(dòng)終端、車載電子、大數(shù)據(jù)存儲(chǔ)和物聯(lián)網(wǎng)等領(lǐng)域的先進(jìn)封裝技術(shù)投入。

于是,2021 年 7 月,長(zhǎng)電科技推出 XDFOI?全系列極高密度扇出型封裝解決方案,旨提供高性價(jià)比、高集成度、高密度互聯(lián)和高可靠性的解決方案,預(yù)計(jì)于 2022 年下半年完成產(chǎn)品驗(yàn)證并實(shí)現(xiàn)量產(chǎn)。在技術(shù)方面,XDFOI?通過(guò)將不同功能的器件整合在系統(tǒng)封裝內(nèi),可達(dá)到大幅降低系統(tǒng)成本同時(shí)縮小封裝尺寸,并能提供小芯片和異構(gòu)封裝的系統(tǒng)解決方案。

在此之前,長(zhǎng)電科技重點(diǎn)發(fā)展系統(tǒng)級(jí)封裝(SiP)、扇出型封裝(Fan-out)和 2.5D / 3D 封裝等技術(shù),并將 SiP 和 Fan-out 封裝打造成其最主要的先進(jìn)封裝技術(shù)。其中,長(zhǎng)電科技通過(guò)收購(gòu)星科金朋獲得的 SiP 技術(shù)已可與日月光抗衡。這一封裝技術(shù)將不同用途的芯片整合于同一個(gè)系統(tǒng)中,在系統(tǒng)微型化中提供更多功能,而且還使得原有電子電路可減少 70%-80%。

另外,長(zhǎng)電科技的無(wú)硅穿孔扇出型晶圓級(jí)高密度封裝技術(shù),可在硅中介層(Si Interposer)中使用堆疊通孔技術(shù)(Stacked VIA)替代硅穿孔技術(shù)(TSV)。該技術(shù)可以實(shí)現(xiàn)多層 RDL 再布線層,2×2um 的線寬間距,40um 極窄凸塊互聯(lián),以及集成高帶寬存儲(chǔ)和集成無(wú)源元件。目前,長(zhǎng)電科技正致力于將 Fan-out 技術(shù)和 SIP 技術(shù)結(jié)合,以實(shí)現(xiàn)靈活的異構(gòu)集成。

在產(chǎn)能方面,長(zhǎng)電科技也具備一定優(yōu)勢(shì),目前主要工廠為長(zhǎng)電先進(jìn)、長(zhǎng)電韓國(guó)及星科金朋。其中,長(zhǎng)電先進(jìn)具備 FC、PoP、Fan-out、WLP、2.5D / 3D 等先進(jìn)封裝能力;星科金朋新加坡廠擁有 Fan-out eWLB 和 WLCSP 封裝能力,韓國(guó)廠擁有 SiP 和 FC 系統(tǒng)封測(cè)能力,江陰廠擁有先進(jìn)的存儲(chǔ)器封裝、全系列的 FC 倒裝技術(shù);長(zhǎng)電韓國(guó)主營(yíng) SiP 高端封裝業(yè)務(wù)。

值得注意,江陰基地是長(zhǎng)電科技與中芯國(guó)際合作的主要陣地,相對(duì)更能發(fā)揮出上下游市場(chǎng)的協(xié)同效應(yīng)。此外,中芯國(guó)際是長(zhǎng)電科技目前持股超 10% 的第二大股東,且長(zhǎng)電科技多位高管均有中芯國(guó)際背景。由此可見(jiàn),長(zhǎng)電科技已與中芯國(guó)際與深度合作,而且既有業(yè)務(wù)連接緊密。基于先進(jìn)封裝技術(shù)不斷突破,長(zhǎng)電科技與中芯國(guó)際的經(jīng)營(yíng)業(yè)績(jī)或?qū)⑿纬晒舱裨鲩L(zhǎng)。

06 AMD:引領(lǐng)風(fēng)潮

在后摩爾時(shí)代,隨著芯片先進(jìn)制程逐漸突破物理極限,人們開(kāi)始由先前的“如何把芯片變得更小”轉(zhuǎn)變?yōu)椤叭绾伟研酒獾酶 保M(jìn)而使得以 Chiplet 為首的先進(jìn)封裝技術(shù)隨之浮出水面。在這樣的思路下,要在制程微縮時(shí)獲得效能提升,Chiplet 設(shè)計(jì)與創(chuàng)新芯片架構(gòu)、異質(zhì)整合平臺(tái)漸成主流方式之一。因此,AMD、英特爾、臺(tái)積電等巨頭相繼發(fā)布了 Chiplet 產(chǎn)品。

其中,英特爾在其 2021 年架構(gòu)日中發(fā)布了下一代至強(qiáng)可擴(kuò)展處理器,即采用 2.5D 的嵌入式橋接解決方案,在 Chiplet 領(lǐng)域邁出了關(guān)鍵一步。臺(tái)積電也早已重兵押注,發(fā)布了由 CoWoS 與 InFO 技術(shù)組成的 SoIC 芯片 3D 堆疊技術(shù),可提供 Chiplet 的彈性解決方案。相對(duì)而言,AMD 無(wú)疑是 chiplet 風(fēng)潮的引領(lǐng)者,目前已有 14 種用于 Chiplet 的封裝架構(gòu)正在研發(fā)中。

尤其 2021 年 6 月,AMD 發(fā)布了基于 3D Chiplet 技術(shù)的 3D V-Cache。該產(chǎn)品使用臺(tái)積電的 3D Fabric 先進(jìn)封裝技術(shù),將含有 64MB L3 Cache 的 chiplet 以 3D 堆疊的形式與處理器封裝在一起。在 AMD 展示的概念芯片中,原處理器 Chiplet 中帶有 32 MB L3 Cache,而在和 64 MB 的 3D V-Cache 做 3D 封裝后,每個(gè) Ryzen 5000 Chiplet 可以訪問(wèn)總共 96 MB 的 L3 Cache。

目前,先進(jìn)封裝領(lǐng)域有兩條由應(yīng)用驅(qū)動(dòng)的技術(shù)路徑,即提升互聯(lián)密度和 Chiplet。而 AMD 的 3D Chiplet 把兩條技術(shù)路線匯合在一起。其互聯(lián)密度較 2D Chiplet 高兩百多倍,較傳統(tǒng) 3D IC 技術(shù)提高 15 倍。AMD 預(yù)計(jì) 2021 年底前生產(chǎn)運(yùn)用 3D Chiplet 技術(shù)的 HPC 產(chǎn)品,2022 年推出 5 納米 Zen4 架構(gòu)處理器,并且已向臺(tái)積電預(yù)訂明后兩年 5 納米及 3 納米產(chǎn)能。

AMD 之所以能引領(lǐng) Chiplet 技術(shù),離不開(kāi)多年積累。從 2015 年開(kāi)始使用 HBM 技術(shù),到 2019 年推出使用 chiplet 的產(chǎn)品,再到推出 3D chiplet,每一步都可以看見(jiàn)其決心。據(jù)了解,2017 年,AMD 在推出的處理器上便采用 Chiplet 技術(shù)將 4 個(gè) SoC 相互連接,隨后在下一代產(chǎn)品中又通過(guò) Infinity 技術(shù)將 8 個(gè) 7nm Chiplet 小芯片和 1 個(gè) 12nm Chiplet I / O 相互連接。

顯而易見(jiàn),Chiplet 將為半導(dǎo)體產(chǎn)業(yè)帶來(lái)新的機(jī)會(huì),比如降低大規(guī)模芯片設(shè)計(jì)門檻,有效降低芯片客戶設(shè)計(jì)成本,提升晶圓廠和封裝廠產(chǎn)線的利用率,以及建立可互操作的組件、互連、協(xié)議和軟件生態(tài)系統(tǒng)等。而隨著入局企業(yè)、設(shè)計(jì)樣本越來(lái)越多,開(kāi)發(fā)成本逐步下降,Chiplet 生態(tài)將獲得加速發(fā)展。但與此同時(shí),AMD 未來(lái)是否還能引領(lǐng) Chiplet 風(fēng)潮尚有待見(jiàn)證。

07 尾聲

長(zhǎng)期以來(lái),半導(dǎo)體產(chǎn)業(yè)角逐的“主戰(zhàn)場(chǎng)”是在芯片設(shè)計(jì)以及芯片制造環(huán)節(jié)。但在后摩爾時(shí)代,隨著 5G、AI、物聯(lián)網(wǎng)、大數(shù)據(jù)等技術(shù)不斷突破創(chuàng)新,業(yè)內(nèi)對(duì)于體積更輕薄、數(shù)據(jù)傳輸速率更快、功率損耗更小及成本更低的芯片需求大幅提高。這使得單純依靠精進(jìn)制程來(lái)提升芯片性能的方法已無(wú)法滿足時(shí)代需求,而先進(jìn)封裝技術(shù)被視為推動(dòng)產(chǎn)業(yè)發(fā)展的重要杠桿。

與傳統(tǒng)封裝相比,芯片使用先進(jìn)封裝技術(shù)可縮短尺寸、減輕重量達(dá)數(shù)十倍。此外,先進(jìn)封裝技術(shù)節(jié)約的功率可使相關(guān)元件以每秒更快的轉(zhuǎn)換速度運(yùn)轉(zhuǎn)而不增加能耗,同時(shí)更有效地利用硅片的有效區(qū)域。簡(jiǎn)而言之,先進(jìn)封裝技術(shù)不僅在集成度、性能、功耗等方面更具優(yōu)勢(shì),而且設(shè)計(jì)自由度更高、開(kāi)發(fā)時(shí)間更短。因此,其一度號(hào)稱是超越摩爾定律瓶頸的最大“殺手锏”。

鑒于此,先進(jìn)封裝技術(shù)的發(fā)展前景極為廣闊。根據(jù)調(diào)研機(jī)構(gòu) Yole 的數(shù)據(jù),2020 年至 2026 年,先進(jìn)封裝市場(chǎng)復(fù)合年增長(zhǎng)率約為 7.9%,幾乎是傳統(tǒng)封裝市場(chǎng)預(yù)期增長(zhǎng)率 (2.2%) 的三倍。面對(duì)這一發(fā)展機(jī)遇,除了封裝廠、IDM 廠商,晶圓廠、基板 / PCB 供應(yīng)商,以及 EMS / ODM 等眾多廠商都在競(jìng)相布局先進(jìn)封裝研發(fā)和產(chǎn)能。而這必將沖擊傳統(tǒng)封裝市場(chǎng)的舊有格局和發(fā)展模式。

近年來(lái),臺(tái)積電、日月光、三星、英特爾、長(zhǎng)電科技、AMD 等相繼拿出自己的“殺手锏”:3D Fabric、FOWLP、X-Cube、Foveros、XDFOI?、3D Chiplet。雖然這些技術(shù)的核心細(xì)節(jié)有所不同,但殊途同歸,都是在向更高密度和更高集成的方向發(fā)展,以實(shí)現(xiàn)更為復(fù)雜和靈活的系統(tǒng)級(jí)芯片。但由于系統(tǒng)級(jí)先進(jìn)封裝門檻也在不斷變高,想要實(shí)現(xiàn)進(jìn)一步突破并不簡(jiǎn)單。

以扇出型封裝為例,如果要進(jìn)一步系統(tǒng)集成化,芯片挑揀、對(duì)位準(zhǔn)度、重新布線(RDL)制程及線路復(fù)雜度會(huì)進(jìn)一步提升,以及其帶來(lái)的熱、電、應(yīng)力效應(yīng)衰減等將形成技術(shù)門檻。另外,芯片上市時(shí)間、基于成本考量的模組化程度、模組設(shè)計(jì)、模組效能設(shè)計(jì)驗(yàn)證等方面,都需要加強(qiáng)產(chǎn)業(yè)鏈垂直整合。因此,對(duì)各企業(yè)而言,終端系統(tǒng)應(yīng)用和系統(tǒng)整合能力將至關(guān)重要。

目前,隨著國(guó)際半導(dǎo)體巨頭紛紛布局、掀起混戰(zhàn),先進(jìn)封裝的元年已宣告開(kāi)啟。未來(lái),在產(chǎn)業(yè)垂直整合趨勢(shì)加強(qiáng)下,具備系統(tǒng)化芯片及封裝設(shè)計(jì)與驗(yàn)證能力的新產(chǎn)業(yè)模式或?qū)⑴d起。但由于市場(chǎng)的爆發(fā)期尚未來(lái)臨,無(wú)論對(duì)晶圓廠還是傳統(tǒng)封裝等廠商來(lái)說(shuō),現(xiàn)在的多維布局將考驗(yàn)各家的技術(shù)規(guī)劃、市占率與營(yíng)收整體藍(lán)圖。誰(shuí)能在未來(lái)更勝一籌?這場(chǎng)競(jìng)賽且拭目以待。

廣告聲明:文內(nèi)含有的對(duì)外跳轉(zhuǎn)鏈接(包括不限于超鏈接、二維碼、口令等形式),用于傳遞更多信息,節(jié)省甄選時(shí)間,結(jié)果僅供參考,IT之家所有文章均包含本聲明。

相關(guān)文章

關(guān)鍵詞:半導(dǎo)體,臺(tái)積電,英特爾,日月光

軟媒旗下網(wǎng)站: IT之家 最會(huì)買 - 返利返現(xiàn)優(yōu)惠券 iPhone之家 Win7之家 Win10之家 Win11之家

軟媒旗下軟件: 軟媒手機(jī)APP應(yīng)用 魔方 最會(huì)買 要知